期刊大全 杂志订阅 SCI期刊 投稿指导 期刊服务 文秘服务 出版社 登录/注册 购物车(0)

首页 > 精品范文 > 数字集成电路设计

数字集成电路设计精品(七篇)

时间:2023-03-15 15:01:59

数字集成电路设计

数字集成电路设计篇(1)

数字集成电路低功耗优化设计

随着科技的不断发展和进步,在集成电路领域当中,数字集成电路的增长速度飞快,在各种新技术的应用之下,集成电路系统的集成度和复杂度也有了很大的提升。对着移动设备、便携设备的广泛应用,使得数字集成电路面临着越来越严峻的功耗问题。因此,在数字集成电路的未来发展当中,低功耗优化设计已经成为一个主要的发展趋势,在数字集成电路的工艺制造、电路设计等方面,都发挥着巨大的作用。

一、低功耗优化设计的方法和技术

对于可移动、便携式的数字系统来说,功耗具有很大的作用。因此在设计数字电路的时候,应当分析其功耗问题。在设计数字集成电路的过程中,要对功耗、面积、性能等加以考虑。而在这些方面,存在着相互关联和约束的关系。因此,在对数字电路性能加以满足的前提下,对设计方案和技术进行选择,从而实现低功耗优化设计。具体来说,应当平衡性能、面积、功耗方面的关系,防止发生浪费的情况。对专用集成电路进行高效应用,对结构和算法进行优化,同时对工艺和器件进行改进。

二、数字集成电路的低功耗优化设计

1、门级

在数字集成电路的低功耗优化设计中,门级低功耗优化设计技术具有较为重要的作用,其中包含着很多不同的技术,例如路径平衡、时许调整、管脚置换、们尺寸优化、公因子提取、单元映射等。其中,单元映射是在设计电路中,在逻辑单元、门级网表之间,进行合理的布局布线。公因子提取法能够对逻辑深度进行降低、对电路翻转进行减小、对逻辑网络进行简化从而降低功耗。路径平衡则是针对不同路径的延迟时间,对其进行改变,从而降低功耗。

2、系统级

系统级低功耗优化设计当中,主要包括了软硬件划分、功耗管理、指令优化等技术。其中,软硬件划分主要是对硬件和软件在抽象描述的监督,对其电路逻辑功能加以实现,通过对方案的综合对比,选择低功耗优化设计方案。功耗管理是针对电路设计不同的工作模式,将空闲模块挂起,从而降低功耗。而指令优化则包含指令压缩、指令编码优化、指令集提取等,通过对读取速度、密度的提升,使功耗得到降低。

3、版图级

在版图级低功耗优化设计中,需要对互联、器件等同时进行优化,对着集成电路工艺的发展,器件尺寸的减小,功耗也就自然降低。同时由于具有更快的开关速度,因此可以根基不同情况,在电路设计中选择合适的器件进行优化。而对于系统来说,互联作为连接器件的导线,对于系统性能也有着很大的影响。在信号布线的过程中,可以增加关键、时钟、地、电源等信号以及高活动性信号的横截面,从而降低功耗和延时。

4、算法级

在算法级低功耗优化设计当中,需要对速度、面积、功耗等约束条件加以考虑,从而对电路体系编码、结构等进行优化。在通常情况下,为了提升电路质量、降低电路功耗,会采用提高速度、增加面积等方法来实现。算法级低功耗优化设计与门级、寄存器传输级不同,这两者都是对电路的基本结构首先进行确定,然后对电路结构再进行低功耗优化调整。在算法级低功耗优化设计当中,主要包括并行结构、流水线、总线编码、预计算等技术。

5、电路级

在电路级低功耗优化设计中,NMOS管阵列构成的PDN完成了逻辑功能,其中只需要少量额晶体管,具有较快的开关速度,同时由于具有较低的负载电容,不存在短路电流。在电源与第之间,没有电流通路,因此不会产生静态功耗,对于总体功耗的降低有着很大的帮助。同时,在应用的异步电路当中,在稳定状态时,输入信号才会翻转,从而避免了输入信号之间的竞争冒险,也避免了功耗浪费。

6、工艺级

在工艺级低功耗优化设计中,主要包括按比例缩小、封装等技术。随着技术的发展,系统拥有了更高的集成度,器件尺寸得以减小、电容得以降低,在芯片之间,通信量也有所下降,因此功耗也能够得到有效的控制。其中主要包括了互连线、晶体管的按比例缩小。芯片应当进行封装,充分与外界相隔离,从而避免外界杂质造成腐蚀,降低其电气性能。而在封装过程中,对于芯片功耗有着很大的影响。通过合理的进行封装,能够更好的进行散热,从而是功耗得到降低。

7、寄存器传输级

在设计数字集成电路的过程中,寄存器传输级是一种同步数字电路的抽象模型,根据存储器、寄存器、总线、组合逻辑装置等逻辑单元之间数字信号的流动所建立的。在当前的数字设计中,工作流程是寄存器传输级上的主要设计,根据寄存器传输级的描述,逻辑综合工具对低级别的电路描述进行构建。在寄存器传输级的低功耗优化设计当中,主要包括了门控时钟、存储器分块访问、操作数隔离、操作数变形、寄存器传输级代码优化等方法。

随着科技的不断发展,在当前社会中,越来越多的移动设备和便携设备出现在人们的生活中,因此,数字集成电路也正在得到更加广泛的应用。而在电路设计当中,功耗问题始终是一个较为重点的问题,因此,应当对数字集成电路进行低功耗优化设计,从而降低电路功耗,提升电路效率。

参考文献:

[1]桑红石,张志,袁雅婧,陈鹏.数字集成电路物理设计阶段的低功耗技术.微电子学与计算机,2011(04).

[2]邓芳明,何怡刚,张朝龙,冯伟,吴可汗.低功耗全数字电容式传感器接口电路设计.仪器仪表学报,2014(05).

数字集成电路设计篇(2)

关键词:同步数字集成电路 设计 时钟偏移

中图分类号:TN431 文献标识码:A 文章编号:1007-9416(2012)07-0229-01

面对当前21世纪科学技术的迅速发展,在同步数字集成电路的设计中,时钟偏移的影响力也越来越受到设计人员的关注。受时钟偏移的影响,导致在长时间的应用中,时钟频率出现的越来越高,也由此增加了时钟偏移在同步数字集成电路中的重要性。一般而言,任何一个系统中若出现过多的流水线级数,则会导致时钟偏移的可能性增加,并由此影响数字集成电路的同步进行。在解决这一问题的过程中,本文从同步数字集成电路、时钟偏移、时钟偏移分析等三个方面出发,对这一问题的完善做如下简要分析:

1、同步数字集成电路

在当前数字集成电路设计中,最常用的方法为同步方法,这一方法除了能最大限度的发挥出集成电路的优势外,还具备高度的可靠性。但在实际应用中,所谓的同步,具体是指该电路系统在实际影响中,其所包含的触发器都能在一个公共时钟的控制下进行运行。结合同步电路的整体运行结构,其内部构造主要由组合电路、时序电路及时钟分配网络等三个方面构成。这三者之间有着相辅相成、缺一不可的关系。集成电路在很大程度上与组成电路之间存在着较大的差别,组合电路能够随时输出稳定状态,而集成电路则不行。此外,在整个集成电路中,时钟偏移的出现,在扰乱整个时序单元的同时,还会使整个集成电路的内部处于混乱状态,甚至在情况严重时会出现瘫痪,这些,都需要设计人员进行考虑,并对其进行完善。换而言之,在整个同步数字集成电路的实际运行中,要想从根本上保证电路的运行秩序,其核心在于保证各个时序单元的时钟信号处于正确状态,只有这样才能得到正确的逻辑值,从而确保整个电路功能的正确发挥。

2、时钟偏移

在整个同步数字集成电路设计中,若使用边沿触发式触发器的同步系统,则必须要求所有的触发器都在同一时刻对时钟出发沿进行接收,并以此来确保集成系统的正常运行。若单纯的从理论角度出发,电路中的触发器所使用的都是同一个时钟信号,但其中一个触发器接收到的时钟信号要比另外一个的时间晚很多。换而言之,即同一信号在发出后,到达的时间不同,这就是所谓的时钟偏移。但在实际应用中,若出现最大传递延时的状况,则能从很大程度上反应出信号出现了变化,且最慢的接收器也会在一定时间内响应这种变化。而正是这种延时状况,在很大程度上确定了电力的最大允许速度,即人们常说的最大传递延时。与之不同的是,最小传递延时在实际应用中,能够在很大程度上表示输入时间的变化,一旦输出时间出现了变化,则其中传递的时间都会受到影响。但与最大传递延时相比,这种延时所造成的影响要小的多,因而在一定程度上更适合应用到时钟偏移的研究中。

3、时钟偏移分析

科研人员在整个同步数字集成电路的设计研究中,受时钟信号的影响,在考虑整个电路时序单元的同时,还需要电路设计的各个环节考虑进去。从现有的集成电路设计方案能够得出,在引起时钟偏移的众多原因中,导线长度及负载的不均衡是引起时钟偏移的主要因素;再加上串扰(即一根信号线的能量串入到另一根信号线中)因素的影响,都会在很大程度上引起时钟偏移的现象。在大型 PCBO或ASICO专用集成电路设计中,通常难以找到可能引起时钟偏移的所有原因。所以,大多数ASIC制造商都要求设计者提供额外的建立和保持时间容限,但在这些应用中,其时间容限往往存在与系统内部的延迟部位,这些部位都会因时间延迟而引起相应的后果。面对当前集成电路研究步伐的加快,时钟偏移的大小与极性都会对整个集成电路的稳定性及功能性造成影响,与此同时,任意两个相对的时序在运行中,其相邻的寄存器都会受自身极性的影响,出现颤抖,这些都会影响时钟的正常运行,并由此导致时钟不确定因素的出现,而这些,都需要科研人员对整个时序进行相应的分析,确保集成电路的顺利运行。

4、结语

综上所述,在当前同步数字集成电路设计的研究中,时钟偏移作为最常见的问题之一,在影响整个集成电路正常运行的同时,还会对系统的性能造成影响。在完善这一问题的过程中,设计人员只有在了解时钟偏移产生的机理上,才能采取相应的措施来缓解这一现象。这就需要设计人员能够结合着我国集成电路发展的基础,不断学习国外集成电路的研究技术,将其运用到我国的实际发展中,在推动集成电路发展的同时,还能为其今后的发展奠定坚实的基础。

参考文献

[1]殷瑞祥,郭镕,陈敏.同步数字集成电路设计中的时钟树分析[J].华南理工大学学报(自然科学版),2011,(06).

数字集成电路设计篇(3)

关键词: 数字电子技术 教材改革 工程应用

1.引言

《数字电子技术》是高等学校通信工程、电子信息工程、自动化、电气工程及自动化等专业的重要专业基础课程[1]。随着数字电子技术、数字系统的高速发展,以FPGA (Field Programmable Gate Array)和CPLD(Complex Programmable Logic Device)为代表的大规模可编程逻辑器件(Programmable Logic Device,PLD)的广泛应用,使传统“板上数字系统”被“片上数字系统”替代[2]。为适应数字电子技术的发展趋势,对传统《数字电子技术》教材内容进行了改革,在教材内容的安排和例题选用上,立足于应用型人才培养,以现代信息技术为依托,注重理论联系实际,取得较好的应用效果。

2.教材改革的基本思路

随着数字电子技术的快速发展,如何处理数字电子技术的经典内容与现代内容、传统分析设计方法与现代分析设计方法之间的关系,是教材内容改革的重点。教材以“基础知识器件原理器件应用器件仿真系统构建系统仿真”为主线,构建数字系统的知识框架。在教材内容组织上,将数字电子技术和数字系统有关知识融为一体,系统介绍数字电子技术与数字系统的基本分析方法和设计方法;在教材内容编写上,以培养学生的应用能力和实践能力为目的,采用案例式或项目式编写思路,将理论知识和实际应用相结合,把突出知识的应用性和实践性作为主要方向,做到理论和实践并重,既强调理论基础,又突出应用性。对于集成电路注重逻辑功能和使用方法介绍,增加EDA (Electronic Design Automation)技术基础知识[3],利用Multisim 软件对部分电路进行功能仿真,并介绍VHDL语言、QuartusⅡ软件的基本使用方法,利用VHDL语言设计部分数字电路,利用QuartusⅡ软件进行仿真分析,适应现代电子技术飞速发展和应用的需要。

3.教材的主要特点

3.1 教材内容组织

按照教育部高等学校电子信息科学与电气信息类基础课程教学指导委员会对《数字电子技术基础》课程教学的基本要求,对《数字电子技术》教材内容进行重新组织,将教材内容分为十章[4]。第一章介绍逻辑代数的基础知识,主要包括各种数制、常用的编码规则、逻辑代数的基本定理、逻辑函数的表示方法和化简方法等。第二章介绍EDA技术的基础知识,包括Multisim、VHDL语言、QuartusⅡ的基础知识。第三章介绍分立门电路、集成门电路和可编程逻辑器件的特点,并介绍利用VHDL语言设计门电路的方法。第四章首先介绍组合逻辑电路的基础知识,然后讲解组合逻辑电路的应用,最后利用Multisim对组合逻辑电路进行功能仿真和设计分析,并介绍组合逻辑电路的VHDL语言设计方法。第五章介绍各种触发器的功能和应用,并利用Multisim对触发器进行功能仿真,介绍触发器的VHDL语言设计方法。第六章介绍时序逻辑电路的分析方法和设计方法,介绍常用时序逻辑电路的功能和应用,并分别利用VHDL语言和Multisim进行功能描述和仿真。第七章介绍脉冲波形的产生与整形电路,重点介绍集成电路的应用。第八章介绍半导体存储器的特点和应用。第九章介绍A/D转换和D/A转换的工作原理和主要技术指标,对集成DAC和ADC的基础知识及应用进行简单介绍,并利用Multisim对基本转换电路进行功能仿真。第十章介绍数字系统设计的基本流程,通过3个实例介绍数字系统的不同设计方法。

3.2强调基础理论

随着数字电子技术的发展,数字电子技术已逐渐渗透到各个行业,《数字电子技术》课程作为高校电类专业的基础课程,是学生走向数字化时代的第一门课程,也是某些高校相关专业的考研课程,其重要性不言而喻。教材编写强调《数字电子技术》基础知识的系统性、完整性,将逻辑代数基础、组合逻辑电路分析与设计、时序逻辑电路的分析与设计等基础知识作为教材核心内容,并结合部分高校相关专业《数字电子技术》研究生考试大纲的要求,增加部分教学内容。例如,在第六章“时序逻辑电路”中增加利用观察法和隐含表法进行状态化简的内容,使学生能够更容易掌握时序逻辑电路的传统设计方法。

在教材内容编排上,反复训练基础理论知识,使学生更好地学习并掌握基础理论知识,为进一步学习打下坚实的基础。例如,第四章“组合逻辑电路”首先介绍组合逻辑电路的分析方法和设计方法,然后介绍常用集成组合逻辑电路的原理和应用,其中译码器、数值比较器按照组合逻辑电路的分析方法进行阐述,编码器、数据选择器、加法器按照组合逻辑电路的设计方法阐述,使教材内容循序渐进、深入浅出,适用于学生自学,有利于培养学生自主学习能力。

3.3突出实践应用

在教材编写过程中,注重学生对知识应用能力培养的需要,强调具体操作过程中学习理论基础,将知识应用能力培养贯穿整本教材,突出教材知识的实践应用性。在介绍集成电路时,删除集成电路内部电路的分析,强调集成电路的逻辑功能和使用方法[5],例如,介绍555定时器时,在简单介绍555定时器的电路结构和工作原理的基础上,以“触摸式定时控制开关电路”、“双音门铃电路”等应用电路介绍555定时器的使用方法。

在第九章“数/模和模/数转换器”中,以DAC0808、DAC 0832、AD7543为例介绍常用集成数/模转换器的工作原理和使用方法,并分别给出DAC0832、AD7543与单片机AT89C51的接口电路,既加强与后续课程单片机、微机原理等的联系[6],又突出教材内容的应用性。

3.4增加EDA技术知识

EDA是电子设计自动化(Electronic Design Automation)的缩写,是从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。教材第二章EDA技术基础知识介绍了Multisim和QuartusⅡ两种EDA工具的操作界面和使用方法,并介绍了VHDL语言的基本结构、数据对象、数据结构、操作符和基本语句结构,使学生借助EDA工具进行电路分析和设计。教材给出了74LS138、74LS153、74LS194、74LS160等常用集成电路的Multisim仿真电路和VHDL描述方法,并在第十章“数字系统设计”中,以“计数报警器”、“简易交通灯控制器”、“函数信号发生器”为例,结合Multisim和QuartusⅡ软件,详细介绍简单数字系统的设计过程,丰富教材内容。

4.结语

《数字电子技术》教材改革是一项长期工程,随着数字电子技术的发展,必将对教材内容产生深刻影响。本教材于2012年10月由北京大学出版社作为“21世纪全国本科院校电气信息类创新型应用人才培养规划教材”出版,2013年12月被评为河南省“十二五”普通高等教育规划教材。教材经过3年多的使用,得到了广大师生的关注,收集了各方面建议和意见。为了更好地适应现代数字电子技术的发展和应用,需要对教材内容进行进一步改革。

参考文献:

[1]陆冰,魏芸,闾燕,等.“数字电子技术”课程教学改革的实践[J].电气电子教学学,2013,35(4):46-47.

[2]宁改娣,杜亚利.教材:《数字电子技术》教材改革探索[J].教育教学论坛,2012(8):98-99.

[3]黎艺华,谢兰清.高职数字电子技术项目课程教材建设探索[J].教育与职业,2011(15):131-132.

[4]秦长海,张天鹏,翟亚芳.数字电子技术[M].北京大学出版社,2012.

数字集成电路设计篇(4)

关键词: 硬件描述语言 verilog HDL VHDL

1.引言

数字电子技术是电气信息类专业一门重要的技术基础课程,既具有一定的理论性,同时作为一门技术课程又有相当强的实践性。因此,我们必须为理论的讲述配置一定的实验项目。目前实验项目的组织有两种途径:一是采用原来传统的小规模(SSI)或中规模集成电路(MSI)为单元构建实验项目;二是以大规模(LSI)可编程CPLD/FPGA芯片为平台,利用专门的硬件描述语言来实现。

2.现状与需求

目前,在许多本科院校的数字电子技术课程实验教学和数字电路的设计中,仍采用传统的小规模(SSI)或中规模集成电路(MSI)为单元来构建和设计。这种思路已经不能适应教学和行业发展趋势的需要。它主要有如下几个方面的原因:一是实验室必须为每一个实验项目独立地准备实验器材,而且要保证实验元件的正确性和可靠性,这是一件很费时费力的工作,同时一旦有学生操作失误,芯片就有可能烧坏,从而浪费资源;二是目前的大学生电子设计大赛所设计的数字系统设计和一些接口电路已经涉及和要求掌握在大规模和超大规模可编程芯片基础上设计复杂的数字电路;三是目前随着微电子技术和计算机技术的飞速发展,工程中已经广泛采用以CPLD/FPGA为基础设计数字集成电路,用软件的方法设计硬件电路已经是行业的需要。

为此,有必要在课堂教学中引入硬件描述语言用以设计数字集成电路,并设置相应的实验项目以掌握硬件描述语言和熟悉相关开发工具。

3.硬件描述语言在数字电路设计中的应用

3.1硬件描述语言简介[1]

一般的硬件描述语言可以在三个层面上描述电路,其层次由低到高依次为门电路级、RTL级和行为级。任何一种硬件描述语言都要转换成门电路级才能被布线器所接受。综合的方向是由高到底:行为级RTL级门电路级。

3.2硬件描述语言分类及主要差异

目前主流的描述语言有Verilog HDL和VHDL两种,各有特点和优势。Verilog HDL更适合RTL和门电路的描述,是一种较为低级的语言。其综合过程只要经过RTL级门电路级,故较为容易控制电路资源,常用在专业的集成电路设计上。而VHDL语言则更适合行为级和RTL级的描述,因此其综合过程通常要经过行为级RTL级门电路级的转换。[2]

同时,Verilog HDL语言具有C语言的描述风格,是一种较为容易掌握的语言。VHDL语言入门较难,但熟悉后设计效率比Verilog HDL要高。

3.3硬件描述语言在数字电路设计中的应用举例

译码器是数字电路中应用最为广泛的中规模集成电路,常用于设计接口电路和扩展I/O口。下面是用VHDL语言来描述一个3―8译码器的例子。[3]

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;―IEEE库说明

ENTITY decoder IS

PORT(A:IN STD_LOGIC_VECTOR(2 DOWNTO 0);―实体说明,输入三位地址,高电平有效

S:IN STD_LOGIC;―使能信号,高电平有效

Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));―输出八个译码信号,高电平有效

END decoder;

ARCHITECTURE arch OF decoder IS―结构体描述

SIGNAL SEL:STD_LOGIC_VECTOR(3 DOWNTO 0);―敏感列表

BEGIN

SEL(0)<=S;

SEL(1)<=A(0);

SEL(2)<=A(1);

SEL(3)<=A(2);

WITH SEL SELECT

Y<="00000001"WHEN"0001",―功能描述

"00000010"WHEN"0011",

"00000100"WHEN"0101",

"00001000"WHEN"0111",

"00010000"WHEN"1001",

"00100000"WHEN"1011",

"01000000"WHEN"1101",

"10000000"WHEN"1111",

"11111111"WHEN ORTHERS,

END arch;

译码器种类繁多,输入输出电平有效值要求高低不同,在此我们只需稍改功能描述中的取值即可,非常方便。因此修改教学内容是非常方便的。不难看出内部结构比较复杂的译码器用VHDL语言描述就显得非常简洁易懂。其实一般较为复杂的器件比较适合用VHDL来描述,在RTL级和行为级上进行描述。

D触发器是时序电路的基础,是数字系统的基本单元。下面是利用Verilog HDL描述一个异步复位的D触发器。

module DFF(q,qb,d,clk,clr);模块名和端口列表

output q,qb;//端口输入输出说明,输出端q和反相qb

input d,clk,clr;//数据输入端d,时钟端clk和复位端clr

reg q;端口类型说明

wire qb,d,clk,clr;

assign qb=!q;//互非输出

always @(posedge clk or negedge clr)//异步复位时的敏感表

if(!clr)

q<=0;//低电平复位信号有效是清零

else

q<=d;

endmodule

将敏感列表稍加改动即可变为同步复位的D触发器。像触发器这样的时序器件用Verilog HDL描述是比较方便的。Verilog HDL语言对一些电气特性、时延特性的描述有非常强大的描述能力。

4.结论

以可编程器件为基础,利用硬件描述语言进行数字集成电路设计已经是业界不可避免的发展趋势。这不仅优化了教学资源和设计环境,而且提高了设计效率,对切实提高学生动手能力和适应市场以及技术发展的要求起着重要作用。

参考文献:

[1]潘松,王国栋.VHDL实用教程[M].成都:电子科技大学出版社,2000.

[2]夏宇闻.复杂数字电路与系统的Verilog HDL设计技术[M].北京航空航天大学出版社,2002.

数字集成电路设计篇(5)

【关键词】电子线路设计与应用课程项目教学 教学设计

【中图分类号】G 【文献标识码】A

【文章编号】0450-9889(2012)09C-0045-02

电子线路设计与应用是自动化类专业必修的行业通用能力模块,是自动化类专业的基础核心课程,参照高、中级维修电工的国家职业技能标准(电子部分),以工作任务为引领、职业技能为导向构建以工程项目模块的课程体系,以项目为中心,培养学生的综合技能。本文以“简单数字抢答器的设计与制作”为例,探讨高职电子线路设计与应用课程项目驱动式教学设计。

一、教学能力目标及学习模式设计

(一)教学能力目标设计

1 专业能力目标。具体如下:(1)能借助常用仪器仪表判断集成芯片的好坏;(2)能对电子线路性能进行测试与分析,熟练使用常见的电子仪器仪表;(3)能根据电路图对电路进行安装、调试、维修;(4)能按照最优化设计理念对电路功能进行改进与改造;(5)会对电子产品加工进行组织安排、管理等。

2 方法能力目标。具体如下:(1)会识别和测试常用TIL、CMOS集成电路产品;(2)能进行相应资料信息的查询、收集与整理;(3)能应用AutoCAD完成简单数字抢答器的原理图、接线图设计,用面包板完成项目实际制作;(4)能分析和排除项目中的简单故障等。

3 社会能力目标。具体如下:(1)能够做到安全生产、规范操作,节约用电;(2)具有良好的职业素养与职业道德;(3)具有质量、效益、成本意识;(4)能够正确表达和展示工作成果,有良好的沟通能力等。

(二)学习模式设计

电子线路设计与应用课程的教学对象是电气自动化类专业一年级学生,学生的主要情况为:具有一定的电工操作技能,获得了维修电工初级上岗证,但是自学能力不足;具有一定的认知能力与学习主动性,但专业知识综合应用能力不足;学生之间的水平参差不齐,软件应用能力不足。根据以上学生情况分析,本项目以2人为一组,实行“先进带后进”的学习模式,让学习先进的学生与学习后进的学生组成一组,相互学习,共同进步,激发学生学习的积极性。

二、教学过程设计与实施

(一)确认项目任务

“简单数字抢答器”项目是电子线路设计与应用课程的第一个项目,应激发学生的学习兴趣,为该门课程的学习打下良好基础。该项目既包含理论知识,又有一定的实践操作可行性,能起到承上启下的作用,使学生转换思维,运用新的知识、技能解决实际问题。可将“简单数字抢答器”项目分为五个子任务:逻辑代数的认知;逻辑门电路正确使用;不同类型集成门电路的接口;常用集成门电路的逻辑功能识别与检测;会使用常用集成门芯片、按钮、指示灯以及合适的连接线制作简单数字抢答器电路,能应用AutoCAD画出电路的原理图、接线图,能安装、调试、维修电路等。

(二)制定项目教学计划

要制定合理的教学计划,需要根据不同专业和学生的实际情况而定。对于电气自动化技术类专业的学生来说,他们已经完成应用数学、电路、模拟电子技术、Au-toCAD绘图及应用等课程的学习,能够正确使用工具、仪表,会进行电路的布线与操作,具备一定的分析问题、解决问题的能力。“简单数字抢答器”项目教学计划大致可分为:各项目小组制订项目计划,所有小组共同论证项目计划的可行性以及需要改进的地方;对设计的“简单数字抢答器”原理图进行分析,明确元器件连接和电路连线;应用AutoCAD画出布线图;制作电路的元器件清单以及调查所需元器件的市场价格,购买所需元器件,并完成元器件的检测工作;根据布线图制作“简单数字抢答器”电路;完成“简单数字抢答器”电路整体功能检测和简单故障排除;完成项目报告及心得体会。

(三)项目教学实施

项目教学实施本着“人人参与、人人实践”的原则,是一个理论与实践紧密结合的过程,它既注重项目设计与制作的过程,又注重项目完成的成果,鼓励学生发挥聪明才智,设计出功能更加完善的项目电路图,注重创新思维的培养,同时锻炼学生的动手能力,充分调动学生的主观能动性,使学生乐于学习、乐于探索。“简单数字抢答器”项目教学的实施方案如下:

测试常用集成门芯片的逻辑功能,如测试芯片74LS08,74LS32,74LS04(CD40106)、4LS00(CD4011)的逻辑功能;通过亲自布线,掌握常用集成门芯片对信号的控制作用;了解常用74系列门电路的管脚排列;正确使用面包板,正确安装元器件与集成芯片,布线合理,符合工艺要求,具有成本意识与安全意识;画出简单数字抢答器的电路原理图以及布线线图;实际安装制作简单数字抢答器电路;检测、调试、维修简单数字抢答器电路;验收简单数字抢答器电路,并完成项目报告。

三、学习评价设计

项目考核均采用“三位一体”评价模式,即学生自我评价、班组评价、教师(师傅)评价。理论与实践一体化的综合评价模式中,学生不仅会自行设计与制作简单数字抢答器电路,而且能提出该电路的设计与制作缺陷,能对该电路进行一定的电路改造,能自由表达自己的观点,重点培养学生的表达能力与自信心。

(一)期末总评设计

期末总评采用“235”考核方式,即平时成绩20%+期末理论综合考核30%+项目能力考核50%。

(二)项目能力考核评价设计

项目能力考核评价设计详见表1。

(三)期末理论综合考核设计

数字集成电路设计篇(6)

关键词:FPGA VHDL 模N计数器 数字电路实验教学

1.引言

随着微电子技术的高速发展,集成电路设计也不断向超大规模、超高速和低功耗的方向发展。传统数字电路课程设计在许多方面都滞后于现代数字电路设计形势的发展,如效率低、损耗大、电接触不稳定、实验装置缺乏稳定性和灵活性,成为创新和应用型人才培养的阻力,而FPGA具有设计技术齐全、效率高、易仿真、可移植性高等优点[1],通过对芯片的设计来完成大规模数字系统,可以很好地解决上述问题。

2.FPGA概述

2.1FPGA的概念。

FPGA(Field Programmable Gate Array)又称现场可编程门阵列是大规模集成电路技术发展的产物,属于ASIC(专用集成电路)器件中的一种,具有可编程的特性和实现方案容易改动等特点。FPGA采用的是SRAM(静态随机存储器)来构成逻辑函数发生器,一个N输入的LUT(可编程的最小逻辑构成单元)可以完成N个输入变量的逻辑功能,更适于完成触发器丰富的时序逻辑电路。在现代集成电路设计中,数字系统所占的比例越来越大,FPGA设计开发周期短、集成度高、设计制造成本低、开发工具先进,将发挥越来越重要的作用[2]。

2.2VHDL介绍。

利用系统可编程逻辑器件FPGA芯片进行数字系统设计时,是以硬件描述语言作为设计语言,目前最主要的硬件描述语言是:VHDL(Very High Speed Integrated Circuit HDL)和Verilog HDL。VHDL发展得较早,语法严格,主要利用软件编程的方式来描述数字系统的结构、数据流、行为。该语言具有功能强大的语言结构,具有多层次的设计描述功能,与传统的门级描述方式相比,它更适合大规模系统的设计。

3.在数字电路课程设计中引入FPGA的必要性

将FPGA引入数字电路课程设计中是一种全新的实验手段,可以不断修改电路和参数,及时观察输出结果,有效加深了学生对电子线路本质的理解,提高学生现代化电子设计能力,激发学习兴趣。在数字电路实验教学中引入FPGA有以下优势。

3.1实验项目增加,效率提高。

传统数字电路的实验项目较少并普遍采用的是常规逻辑器件连接起来构成不同功能的电路。由于电路板硬件决定了实验项目不能随意更改,功能单一,不利于学生综合电路设计能力的提高。较复杂的实验学生很难在2个课时内做完。采用FPGA技术,增加了综合性实验,学生只需学会EDA工具软件的使用方法,就可以在2个课时内完成更多的实验项目。

3.2实验难度降低,成功率提高。数字电路实验主要装置是面包板或实验箱。面包板连线时容易出现导线接触不良、线路干扰等不稳定的因素。实验箱虽然稳定,但实验使用的逻辑器件功能较为单一,难以实现复杂的数字电路。采用FPGA设计硬件电路,对于比较复杂的硬件实验,不必编写逻辑表达式和真值表,降低了设计难度,缩短了设计周期。也不必用通用的逻辑元器件来构成逻辑电路,而是直接用语言描述其功能,根据电路的不同需要自行设计专用功能模块,从而实现了“软”硬件设计,降低了研发成本。程序具有良好的可读性,支持对已有设计的再利用。并且电路的设计更加合理,提高了实验成功率,体积和功耗也大为减小。

3.3提高了学生的实践和动手能力。采用FPGA做数字电路实验,对同一电路模块的设计有了多种不同的计方案。如采用不同的门电路或者使用语言对电路的功能进行描述,得到功能模块。此模块还可被调用,使设计更具灵活性。

4.现场可编程门阵列在EDA设计中的应用实例

下面我以设计模为N的计数器电路课程设计为例,介绍使用FPGA在数字电路设计中新的设计思路。

在对计数器电路进行设计中,传统的电路设计是用集成计数器构成,如图1所示。

但是当模N比较大或者想改变N的值的时候,会感到物理硬件连接和改动起来非常麻烦,而利用FPGA的可编程的特性,采用VHDL可以方便快捷地实现任意模N的计数器,并且容易发现结构设计上的失误,提高了设计的成功率。

上述电路采用VHDL语言描述如下。

…………

由程序可以看出,利用模12计数器的程序,只需修改计数器的状态数,就可以实现任意模N计数器。通过上述电路设计的学习,学生逐渐学会用VHDL语言设计电路,体会到用VHDL语言来描述复杂的控制逻辑具有简洁明了、良好的可移植性,以及不依赖特定器件的优点。提高了学生自己研究问题和解决问题的能力,培养了学生的创新意识,取得了良好的教学效果。

5.结语

随着FPGA的普及和知识产权核IP日益重视,电子产品设计中的硬件将不再是主导因素,而是全面转向软设计,使得板级设计更加简单和模块化。为了培养能适应电子技术发展趋势的创新型和应用型人才,将FPGA技术引入数字电路实验教学中,能很好地锻炼学生的综合设计开发能力和动手能力,激发他们的学习兴趣,节约实验成本,提高教学质量和设计效率。因此,将FPGA技术应用于数字电路设计必将成为今后数字电路实验教学与课程设计教学改革的新方向。

参考文献:

[1]刘廷文,唐庆玉,段玉生.EDA技术是实现电工学研究型教学的良好手段[J].实验技术与管理,2006,23,(8):65-68.

[2]艾明晶,康光宇.EDA教学实验平台的设计与实现[J].计算机应用,2002,(10):23-24.

数字集成电路设计篇(7)

数字电压表的设计和开发,已经有多种类型和款式。传统的数字电压表各有特点,它们适合在现场做手工测量,要完成远程测量并要对测量数据做进一步分析处理,传统数字电压表是无法完成的。然而基于PC通信的数字电压表,既可以完成测量数据的传递,又可借助PC,做测量数据的处理。所以这种类型的数字电压表无论在功能和实际应用上,都具有传统数字电压表无法比拟的特点,这使得它的开发和应用具有良好的前景。

新型数字电压表的整机设计

该新型数字电压表测量电压类型是直流,测量范围是-5~+5V。整机电路包括:数据采集电路的单片机最小化设计、单片机与PC接口电路、单片机时钟电路、复位电路等。下位机采用AT89S51芯片,A/D转换采用AD678芯片。通过RS232串行口与PC进行通信,传送所测量的直流电压数据。整机系统电路如图1所示。

数据采集电路的原理

在单片机数据采集电路的设计中,做到了电路设计的最小化,即没用任何附加逻辑器件做接口电路,实现了单片机对AD678转换芯片的操作。

AD678是一种高档的、多功能的12位ADC,由于其内部自带有采样保持器、高精度参考电源、内部时钟和三态缓冲数据输出等部件,所以只需要很少的外部元件就可以构成完整的数据采集系统,而且一次A/D转换仅需要5ms。

在电路应用中,AD678采用同步工作方式,12位数字量输出采用8位操作模式,即12位转换数字量采用两次读取的方式,先读取其高8位,再读取其低4位。根据时序关系,在芯片选择/CS=0时,转换端/SC由高到低变化一次,即可启动A/D转换一次。再查询转换结束端/EOC,看转换是否已经结束,若结束则使输出使能/OE变低,输出有效。12位数字量的读取则要控制高字节有效端/HBE,先读取高字节,再读取低字节。整个A/D操作大致如此,在实际开发应用中调整。

由于电路中采用AD678的双极性输入方式,输入电压范围是-5~+5V,根据公式Vx10(V)/4096*Dx,即可计算出所测电压Vx值的大小。式中Dx为被测直流电压转换后的12位数字量值。

RS232接口电路的设计

AT89S51与PC的接口电路采用芯片Max232。Max232是德州仪器公司(TI)推出的一款兼容RS232标准的芯片。该器件包含2个驱动器、2个接收器和1个电压发生器电路提供TIA/EIA-232-F电平。Max232芯片起电平转换的功能,使单片机的TTL电平与PC的RS232电平达到匹配。

串口通信的RS232接口采用9针串口DB9,串口传输数据只要有接收数据针脚和发送针脚就能实现:同一个串口的接收脚和发送脚直接用线相连,两个串口相连或一个串口和多个串口相连。在实验中,用定时器T1作波特率发生器,其计数初值X按以下公式计算:

串行通信波特率设置为1200b/s,而SMOD=1,fosc=6MHz,计算得到计数初值X=0f3H。在编程中将其装入TL1和THl中即可。

为了便于观察,当每次测量电压采集数据时,单片机有端口输出时,用发光二极管LED指示。

软件编程

软件程序主要包括:下位机数据采集程序、上位机可视化界面程序、单片机与PC串口通信程序。单片机采用C51语言编程,上位机的操作显示界面采用VC++6.0进行可视化编程。在串口通信调试过程中,借助“串口调试助手”工具,有效利用这个工具为整个系统提高效率。单片机编程

下位机单片机的数据采集通信主程序流程如图2所示、中断子程序如图3所示、采集子程序如图4所示。单片机的编程仿真调试借助WAVE2000仿真器,本系统有集成的ISP仿真调试环境。

在采集程序中,单片机的编程操作要完全符合AD678的时序规范要求,在实际开发中,要不断加以调试。最后将下位机调试成功而生成的.bin文件固化到AT89S51的Flash单元中。

人机界面编程

打开VC++6.0,建立一个基于对话框的MFC应用程序,串口通信采用MSComm控件来实现。其他操作此处不赘述,编程实现一个良好的人机界面。数字直流电压表的操作界面如图5所示。运行VC++6.0编程实现的Windows程序,整个样机功能得以实现。

功能结果

友情链接